CN
PhyBolt

Multi-Physics Domain-Coupling Analysis for 3D IC and Chiplet

Introduction
PhyBolt provides a complete power and thermal coupling solution for chip integration and packaging, with its built-in solvers capable of simulating electron conducting behaviors accurately. PhyBolt supports user-defined parameters settings, and provides optimized input set based on accuracy requirements and computing capacity. Embedded with a pool of thermal models, PhyBolt supports different formats of CAD files and GDS files inputs. PhyBolt equips with Signoff accuracy power computing modes, allowing seamless integration with power-thermal simulation. Its power computing adjusts to on-chip thermal levels to improve simulation accuracy. PhyBolt’s power-thermal conductivity models allow users to quickly assess chips’ power-thermal conditions under different voltage, frequency and process corners.
Highlights
  • Power-thermal Co-simulation for full-chip design process 

  • Powered by unique computing algorithm and achieved 10~30 times efficiency improvement compared to traditional tools 

  • Inclusive system data transfer from chip to package to PCB and to system hardware

  • Support and compatible with 3DIC and Chiplet designs

  • Support steady-state and transient analysis

  • Adaptive meshing

  • DVFS algorithm simulation and system-level power calculation

  • Special PVTF power models

Demonstration

未标题-1-04.png

未标题-1-06.png


©2024 Phlexing Technology Co., Ltd. All rights reserved 浙ICP备19047930号-2 Zhejiang public network security 33010802011331